aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue40/test2.vhdl
blob: e9d2a54890218ee8978ecbe8d7a991add4175acb (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
library ieee;
    use ieee.std_logic_1164.all;
    use ieee.numeric_std.all;

entity a2 is
port
(
    foo : out std_ulogic_vector
);
end;

architecture rtl of a2 is
begin
end rtl;

library ieee;
    use ieee.std_logic_1164.all;
    use ieee.numeric_std.all;

entity b2 is
port
(
    bar     : inout std_logic_vector(7 downto 0)
);
end;

architecture rtl of b2 is
begin

i_a: entity work.a2
port map
(
    std_logic_vector (foo) => bar
);
end rtl;