aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2336/repro.vhdl
blob: b0f6a6c901ab6a8f6603843bbea578dbb3435bf8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
library ieee;
use ieee.std_logic_1164.all;

entity repro is
  port (a, b, clk : std_logic);
end;

architecture behav of repro is
begin
  default clock is rising_edge(clk);

   -- This assertion should hold, but doesn't (GHDL BUG)
--  NEXT_0_a : assert always (a -> next_event_e(true)[2 to 2] (b));
--    NEXT_1_a : assert always (a -> next_e[2 to 4] (b));
--    NEXT_2_a : assert always (a -> {true[->2 to 3] : b});
  NEXT_3_a : assert always (a -> {true[->2 to 3] ; b});
end behav;