entity ent2 is end; architecture a of ent2 is signal x : integer; signal y : integer := x / 2; begin end;