library ieee; use ieee.std_logic_1164.all; entity issue is port (clk : std_logic); end entity issue; architecture beh of issue is begin --psl default clock is rising_edge (clk); --psl assert (always (true or true)); end architecture;