library IEEE; use IEEE.std_logic_1164.all; entity comp is port ( data : in std_logic_vector ); end comp;