library ieee; use ieee.math_real.all; entity entcos is end entcos; architecture a of entcos is constant cos_val : real := cos(1.0); begin end a;