library ieee; use ieee.math_real.all; entity ent is end ent; architecture a of ent is constant sin_val : real := sin(1.0); begin end a;