#! /bin/sh . ../../testenv.sh synth_tb if01 echo "Test successful"