library ieee; use ieee.std_logic_1164.all; package rec10_pkg is type myrec is record b : std_logic_vector (1 to 1); end record; end rec10_pkg;