library ieee; use ieee.std_logic_1164.all; package rec09_pkg is type myrec is record b : std_logic; end record; end rec09_pkg;