library ieee; use ieee.std_logic_1164.all; package rec01_pkg is type myrec is record a : std_logic; b : std_logic; end record; end rec01_pkg;