[ { "jsonrpc": "2.0", "id": 0, "result": { "capabilities": { "textDocumentSync": { "openClose": true, "change": 2, "save": { "includeText": true } }, "hoverProvider": false, "definitionProvider": true, "referencesProvider": false, "documentHighlightProvider": false, "documentSymbolProvider": true, "codeActionProvider": false, "documentFormattingProvider": false, "documentRangeFormattingProvider": true, "renameProvider": false } } }, { "jsonrpc": "2.0", "method": "textDocument/publishDiagnostics", "params": { "uri": "file://@ROOT@/000hello/hello.vhdl", "diagnostics": [ { "source": "ghdl", "range": { "start": { "line": 6, "character": 0 }, "end": { "line": 6, "character": 0 } }, "message": "'<=' is expected instead of 'end'", "severity": 1 }, { "source": "ghdl", "range": { "start": { "line": 6, "character": 0 }, "end": { "line": 6, "character": 0 } }, "message": "primary expression expected", "severity": 1 }, { "source": "ghdl", "range": { "start": { "line": 5, "character": 57 }, "end": { "line": 5, "character": 57 } }, "message": "';' expected at end of signal assignment", "severity": 1, "relatedInformation": [ { "location": { "uri": "file://@ROOT@/000hello/hello.vhdl", "range": { "start": { "line": 5, "character": 57 }, "end": { "line": 5, "character": 57 } } }, "message": "(found: 'end')" } ] }, { "source": "ghdl", "range": { "start": { "line": 5, "character": 56 }, "end": { "line": 5, "character": 56 } }, "message": "no declaration for \"\u00e9\"", "severity": 1 } ] } }, { "jsonrpc": "2.0", "id": 1, "result": [ { "kind": 2, "name": "hello", "location": { "uri": "file://@ROOT@/000hello/hello.vhdl", "range": { "start": { "line": 0, "character": 0 }, "end": { "line": 1, "character": 0 } } } }, { "kind": 2, "name": "behav", "location": { "uri": "file://@ROOT@/000hello/hello.vhdl", "range": { "start": { "line": 3, "character": 0 }, "end": { "line": 6, "character": 0 } } } } ] }, { "jsonrpc": "2.0", "id": 2, "result": null } ]