[ { "jsonrpc": "2.0", "id": 0, "result": { "capabilities": { "textDocumentSync": { "openClose": true, "change": 2, "save": { "includeText": true } }, "hoverProvider": false, "definitionProvider": true, "referencesProvider": false, "documentHighlightProvider": false, "documentSymbolProvider": true, "codeActionProvider": false, "documentFormattingProvider": false, "documentRangeFormattingProvider": true, "renameProvider": false } } }, { "jsonrpc": "2.0", "method": "textDocument/publishDiagnostics", "params": { "uri": "file://@ROOT@/files/adder.vhdl", "diagnostics": [] } }, { "jsonrpc": "2.0", "id": 1, "result": [ { "kind": 2, "name": "adder", "location": { "uri": "file://@ROOT@/files/adder.vhdl", "range": { "start": { "line": 1, "character": 0 }, "end": { "line": 5, "character": 0 } } } }, { "kind": 2, "name": "rtl", "location": { "uri": "file://@ROOT@/files/adder.vhdl", "range": { "start": { "line": 7, "character": 0 }, "end": { "line": 14, "character": 0 } } } } ] }, { "jsonrpc": "2.0", "method": "textDocument/publishDiagnostics", "params": { "uri": "file://@ROOT@/files/adder_tb.vhdl", "diagnostics": [] } }, { "jsonrpc": "2.0", "id": 2, "result": [ { "kind": 2, "name": "adder_tb", "location": { "uri": "file://@ROOT@/files/adder_tb.vhdl", "range": { "start": { "line": 2, "character": 0 }, "end": { "line": 3, "character": 0 } } } }, { "kind": 2, "name": "behav", "location": { "uri": "file://@ROOT@/files/adder_tb.vhdl", "range": { "start": { "line": 5, "character": 0 }, "end": { "line": 57, "character": 0 } } } }, { "kind": 6, "name": "adder_0", "location": { "uri": "file://@ROOT@/files/adder_tb.vhdl", "range": { "start": { "line": 16, "character": 2 }, "end": { "line": 16, "character": 9 } } }, "containerName": { "kind": 2, "name": "behav", "location": { "uri": "file://@ROOT@/files/adder_tb.vhdl", "range": { "start": { "line": 5, "character": 0 }, "end": { "line": 57, "character": 0 } } } } } ] }, { "jsonrpc": "2.0", "id": 3, "result": [ { "uri": "file://@ROOT@/files/adder.vhdl", "range": { "start": { "line": 1, "character": 7 }, "end": { "line": 1, "character": 12 } } } ] }, { "jsonrpc": "2.0", "method": "textDocument/publishDiagnostics", "params": { "uri": "file://@ROOT@/files/adder.vhdl", "diagnostics": [] } }, { "jsonrpc": "2.0", "id": 4, "result": [ { "kind": 2, "name": "adder", "location": { "uri": "file://@ROOT@/files/adder.vhdl", "range": { "start": { "line": 1, "character": 0 }, "end": { "line": 5, "character": 0 } } } }, { "kind": 2, "name": "rtl", "location": { "uri": "file://@ROOT@/files/adder.vhdl", "range": { "start": { "line": 7, "character": 0 }, "end": { "line": 15, "character": 0 } } } } ] }, { "jsonrpc": "2.0", "method": "textDocument/publishDiagnostics", "params": { "uri": "file://@ROOT@/files/adder.vhdl", "diagnostics": [ { "source": "ghdl", "range": { "start": { "line": 14, "character": 2 }, "end": { "line": 14, "character": 2 } }, "message": "'<=' is expected instead of \"co\"", "severity": 1 }, { "source": "ghdl", "range": { "start": { "line": 12, "character": 2 }, "end": { "line": 12, "character": 2 } }, "message": "no declaration for \"e\"", "severity": 1 }, { "source": "ghdl", "range": { "start": { "line": 14, "character": 2 }, "end": { "line": 14, "character": 2 } }, "message": "port \"co\" cannot be read", "severity": 1 }, { "source": "ghdl", "range": { "start": { "line": 14, "character": 20 }, "end": { "line": 14, "character": 20 } }, "message": "no function declarations for operator \"or\"", "severity": 1 } ] } }, { "jsonrpc": "2.0", "id": 5, "result": [ { "kind": 2, "name": "adder", "location": { "uri": "file://@ROOT@/files/adder.vhdl", "range": { "start": { "line": 1, "character": 0 }, "end": { "line": 5, "character": 0 } } } }, { "kind": 2, "name": "rtl", "location": { "uri": "file://@ROOT@/files/adder.vhdl", "range": { "start": { "line": 7, "character": 0 }, "end": { "line": 15, "character": 0 } } } } ] }, { "jsonrpc": "2.0", "method": "textDocument/publishDiagnostics", "params": { "uri": "file://@ROOT@/files/adder.vhdl", "diagnostics": [ { "source": "ghdl", "range": { "start": { "line": 14, "character": 2 }, "end": { "line": 14, "character": 2 } }, "message": "'<=' is expected instead of \"co\"", "severity": 1 }, { "source": "ghdl", "range": { "start": { "line": 12, "character": 2 }, "end": { "line": 12, "character": 2 } }, "message": "no declaration for \"er\"", "severity": 1 }, { "source": "ghdl", "range": { "start": { "line": 14, "character": 2 }, "end": { "line": 14, "character": 2 } }, "message": "port \"co\" cannot be read", "severity": 1 }, { "source": "ghdl", "range": { "start": { "line": 14, "character": 20 }, "end": { "line": 14, "character": 20 } }, "message": "no function declarations for operator \"or\"", "severity": 1 } ] } }, { "jsonrpc": "2.0", "method": "textDocument/publishDiagnostics", "params": { "uri": "file://@ROOT@/files/adder.vhdl", "diagnostics": [ { "source": "ghdl", "range": { "start": { "line": 14, "character": 2 }, "end": { "line": 14, "character": 2 } }, "message": "'<=' is expected instead of \"co\"", "severity": 1 }, { "source": "ghdl", "range": { "start": { "line": 12, "character": 2 }, "end": { "line": 12, "character": 2 } }, "message": "no declaration for \"err\"", "severity": 1 }, { "source": "ghdl", "range": { "start": { "line": 14, "character": 2 }, "end": { "line": 14, "character": 2 } }, "message": "port \"co\" cannot be read", "severity": 1 }, { "source": "ghdl", "range": { "start": { "line": 14, "character": 20 }, "end": { "line": 14, "character": 20 } }, "message": "no function declarations for operator \"or\"", "severity": 1 } ] } }, { "jsonrpc": "2.0", "id": 6, "result": [ { "kind": 2, "name": "adder", "location": { "uri": "file://@ROOT@/files/adder.vhdl", "range": { "start": { "line": 1, "character": 0 }, "end": { "line": 5, "character": 0 } } } }, { "kind": 2, "name": "rtl", "location": { "uri": "file://@ROOT@/files/adder.vhdl", "range": { "start": { "line": 7, "character": 0 }, "end": { "line": 15, "character": 0 } } } } ] }, { "jsonrpc": "2.0", "method": "textDocument/publishDiagnostics", "params": { "uri": "file://@ROOT@/files/adder.vhdl", "diagnostics": [ { "source": "ghdl", "range": { "start": { "line": 12, "character": 2 }, "end": { "line": 12, "character": 2 } }, "message": "no declaration for \"err\"", "severity": 1 } ] } }, { "jsonrpc": "2.0", "id": 7, "result": [ { "kind": 2, "name": "adder", "location": { "uri": "file://@ROOT@/files/adder.vhdl", "range": { "start": { "line": 1, "character": 0 }, "end": { "line": 5, "character": 0 } } } }, { "kind": 2, "name": "rtl", "location": { "uri": "file://@ROOT@/files/adder.vhdl", "range": { "start": { "line": 7, "character": 0 }, "end": { "line": 15, "character": 0 } } } } ] }, { "jsonrpc": "2.0", "method": "textDocument/publishDiagnostics", "params": { "uri": "file://@ROOT@/files/adder.vhdl", "diagnostics": [] } } ]