ghdl_mcode -i --work=build --workdir=. tb.vhdl a.vhdl ghdl_mcode -c --work=build --workdir=. -r tb