library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity tb_systolic is end tb_systolic; architecture beh of tb_systolic is constant mat_c_16x16 : integer_vector(0 to 255) := ( 1127, 1136, 1006, 1862, 1344, 1333, 1184, 1422, 1781, 1206, 1192, 1531, 1586, 1730, 1057, 1561, 618, 808, 786, 1122, 1048, 1133, 964, 1047, 1394, 1259, 1233, 1229, 1228, 1268, 772, 1136, 1190, 1150, 1149, 1736, 1575, 1442, 1331, 1489, 1652, 1649, 1447, 1553, 1631, 1517, 910, 1540, 1064, 1223, 1366, 2005, 1635, 1716, 1197, 1545, 1801, 1727, 1619, 1641, 1718, 1855, 1014, 1668, 1008, 1003, 1158, 1451, 1499, 860, 1119, 1272, 1726, 1530, 1050, 1412, 1610, 1559, 830, 1367, 1319, 1279, 1199, 1872, 1681, 1644, 1330, 1970, 2045, 1717, 1582, 1706, 1789, 1743, 1101, 1833, 408, 749, 471, 968, 553, 686, 465, 604, 941, 813, 572, 846, 1007, 1046, 559, 859, 1263, 1171, 1154, 2022, 1747, 1438, 1395, 1274, 2242, 1491, 1118, 1917, 1733, 1950, 984, 1864, 1059, 1063, 1018, 1685, 1523, 1784, 1344, 1463, 1658, 1778, 1631, 1606, 1488, 1623, 750, 1734, 746, 999, 1037, 1278, 1315, 1463, 1112, 1157, 1505, 1361, 1028, 1349, 1049, 1510, 591, 1441, 1028, 1431, 1527, 2067, 1862, 1579, 1543, 1499, 2272, 2053, 1479, 1774, 1905, 2130, 1147, 2019, 875, 961, 921, 1626, 1030, 795, 911, 1111, 1417, 1389, 1237, 1287, 1690, 1488, 1105, 1244, 965, 905, 875, 1507, 1263, 1307, 997, 1505, 1430, 1331, 1343, 1398, 1496, 1508, 827, 1279, 1530, 1345, 1245, 2150, 1878, 1734, 1466, 1853, 2102, 1744, 1641, 1974, 1860, 2165, 1139, 1914, 797, 884, 699, 1128, 1116, 1265, 1077, 1293, 1462, 1139, 1225, 1105, 926, 964, 850, 1208 ); begin process begin assert false report "all tests passed" severity note; wait; end process; end architecture;