library ieee; use ieee.std_logic_1164.all; entity test3 is end; architecture BHV of test3 is type array_t is array( natural range <> ) of bit_vector; type arry_arry_t is array( natural range <> ) of array_t; function get_min( a : arry_arry_t) return bit_vector is variable res : a'element'element; -- fail@AHDL --variable res : bit_vector(a'element'element'range); -- success@AHDL --variable res : bit_vector(7 downto 0); -- success@GHDL begin res:=a(a'left)(a'element'left); for i in a'range loop for j in a'element'range loop if (a(i)(j)