library ieee; use ieee.std_logic_1164.all; --use ieee.std_logic_arith.all; --use ieee.std_logic_unsigned.all; entity test is end; architecture BHV of test is type matrixType is array(natural range <>) of std_logic_vector; function get_min( a : matrixType) return std_logic_vector is variable res : a'element; begin report "a: " & natural'image(a'left) & natural'image (a'right); res:=a(a'left); for i in a'range loop if (a(i)