#! /bin/sh . ../../testenv.sh GHDL_STD_FLAGS=--std=08 GHDL_FLAGS=--work=test analyze repro.vhdl analyze repro2.vhdl elab_simulate repro2 analyze sortnet_OddEvenSort.vhdl analyze sortnet_OddEvenSort_tb.vhdl elab_simulate --syn-binding sortnet_OddEvenSort_tb # Direct instantiation, not yet supported. analyze sortnet_BitonicSort.vhdl analyze sortnet_BitonicSort_tb.vhdl elab_simulate sortnet_BitonicSort_tb clean test echo "Test successful" g/git/iCE40/yosys' title='iCE40/yosys Git repository'/>
aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/attrib08_mod_inst.v
blob: c5a32234eed0663509288ee650bdfee959829e2f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22