From d08386567e47854722e2b3a92720737837ca0bbd Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Thu, 18 Jun 2015 22:40:31 +0200 Subject: Add testcase for ticket89. --- testsuite/gna/ticket89/project/script.bat | 14 + .../gna/ticket89/project/src93/adaptations_pkg.vhd | 173 + .../gna/ticket89/project/src93/bfm_common_pkg.vhd | 396 ++ .../ticket89/project/src93/license_open_pkg.vhd | 134 + .../gna/ticket89/project/src93/methods_pkg.vhd | 3808 ++++++++++++++++++++ .../ticket89/project/src93/string_methods_pkg.vhd | 1073 ++++++ testsuite/gna/ticket89/project/src93/types_pkg.vhd | 101 + .../project/src93/vhdl_version_layer_pkg.vhd | 97 + .../gna/ticket89/project/tb/partial_test_tb.vhd | 156 + testsuite/gna/ticket89/repro.vhdl | 24 + testsuite/gna/ticket89/testsuite.sh | 30 + testsuite/gna/ticket89/versions.txt | 5 + testsuite/gna/ticket89/x_ieee_proposed/CHANGES.TXT | 8 + testsuite/gna/ticket89/x_ieee_proposed/README.TXT | 8 + .../ticket89/x_ieee_proposed/script/compile_src.do | 50 + testsuite/gna/ticket89/x_ieee_proposed/src/README | 173 + .../ticket89/x_ieee_proposed/src/compile_additions | 24 + .../gna/ticket89/x_ieee_proposed/src/env_c.vhdl | 48 + .../x_ieee_proposed/src/standard_additions_c.vhdl | 2073 +++++++++++ .../src/standard_textio_additions_c.vhdl | 480 +++ .../src/std_logic_1164_additions.vhdl | 1680 +++++++++ 21 files changed, 10555 insertions(+) create mode 100644 testsuite/gna/ticket89/project/script.bat create mode 100644 testsuite/gna/ticket89/project/src93/adaptations_pkg.vhd create mode 100644 testsuite/gna/ticket89/project/src93/bfm_common_pkg.vhd create mode 100644 testsuite/gna/ticket89/project/src93/license_open_pkg.vhd create mode 100644 testsuite/gna/ticket89/project/src93/methods_pkg.vhd create mode 100644 testsuite/gna/ticket89/project/src93/string_methods_pkg.vhd create mode 100644 testsuite/gna/ticket89/project/src93/types_pkg.vhd create mode 100644 testsuite/gna/ticket89/project/src93/vhdl_version_layer_pkg.vhd create mode 100644 testsuite/gna/ticket89/project/tb/partial_test_tb.vhd create mode 100644 testsuite/gna/ticket89/repro.vhdl create mode 100755 testsuite/gna/ticket89/testsuite.sh create mode 100644 testsuite/gna/ticket89/versions.txt create mode 100644 testsuite/gna/ticket89/x_ieee_proposed/CHANGES.TXT create mode 100644 testsuite/gna/ticket89/x_ieee_proposed/README.TXT create mode 100644 testsuite/gna/ticket89/x_ieee_proposed/script/compile_src.do create mode 100644 testsuite/gna/ticket89/x_ieee_proposed/src/README create mode 100644 testsuite/gna/ticket89/x_ieee_proposed/src/compile_additions create mode 100644 testsuite/gna/ticket89/x_ieee_proposed/src/env_c.vhdl create mode 100644 testsuite/gna/ticket89/x_ieee_proposed/src/standard_additions_c.vhdl create mode 100644 testsuite/gna/ticket89/x_ieee_proposed/src/standard_textio_additions_c.vhdl create mode 100644 testsuite/gna/ticket89/x_ieee_proposed/src/std_logic_1164_additions.vhdl (limited to 'testsuite') diff --git a/testsuite/gna/ticket89/project/script.bat b/testsuite/gna/ticket89/project/script.bat new file mode 100644 index 000000000..6ff2e49f3 --- /dev/null +++ b/testsuite/gna/ticket89/project/script.bat @@ -0,0 +1,14 @@ +ghdl -a -v --work=ieee_proposed ../x_ieee_proposed/src/std_logic_1164_additions.vhdl +ghdl -a -v --work=ieee_proposed ../x_ieee_proposed/src/standard_additions_c.vhdl +ghdl -a -v --work=ieee_proposed ../x_ieee_proposed/src/standard_textio_additions_c.vhdl +ghdl -a -v --work=bitvis_util src93/types_pkg.vhd +ghdl -a -v --work=bitvis_util src93/adaptations_pkg.vhd +ghdl -a -v --work=bitvis_util src93/string_methods_pkg.vhd +ghdl -a -v --work=bitvis_util src93/vhdl_version_layer_pkg.vhd +ghdl -a -v --work=bitvis_util src93/license_open_pkg.vhd +ghdl -a -v --work=bitvis_util src93/methods_pkg.vhd +ghdl -a -v --work=bitvis_util src93/bfm_common_pkg.vhd + +ghdl -a --work=bitvis_util tb/partial_test_tb.vhd +ghdl -e --work=bitvis_util partial_test_tb +ghdl -r --work=bitvis_util partial_test_tb \ No newline at end of file diff --git a/testsuite/gna/ticket89/project/src93/adaptations_pkg.vhd b/testsuite/gna/ticket89/project/src93/adaptations_pkg.vhd new file mode 100644 index 000000000..8c172bbd6 --- /dev/null +++ b/testsuite/gna/ticket89/project/src93/adaptations_pkg.vhd @@ -0,0 +1,173 @@ +--======================================================================================================================== +-- Copyright (c) 2015 by Bitvis AS. All rights reserved. +-- A free license is hereby granted, free of charge, to any person obtaining +-- a copy of this VHDL code and associated documentation files (for 'Bitvis Utility Library'), +-- to use, copy, modify, merge, publish and/or distribute - subject to the following conditions: +-- - This copyright notice shall be included as is in all copies or substantial portions of the code and documentation +-- - The files included in Bitvis Utility Library may only be used as a part of this library as a whole +-- - The License file may not be modified +-- - The calls in the code to the license file ('show_license') may not be removed or modified. +-- - No other conditions whatsoever may be added to those of this License + +-- BITVIS UTILITY LIBRARY AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, +-- INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +-- IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, +-- WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH BITVIS UTILITY LIBRARY. +--======================================================================================================================== + +------------------------------------------------------------------------------------------ +-- VHDL unit : Bitvis Utility Library : adaptations_pkg +-- +-- Description : See library quick reference (under 'doc') and README-file(s) +------------------------------------------------------------------------------------------ + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; +use std.textio.all; + +library ieee_proposed; +use ieee_proposed.standard_additions.all; +use ieee_proposed.standard_textio_additions.all; + +use work.types_pkg.all; + +package adaptations_pkg is + constant C_ALERT_FILE_NAME : string := "_Alert.txt"; + constant C_LOG_FILE_NAME : string := "_Log.txt"; + + constant C_SHOW_BITVIS_UTILITY_LIBRARY_INFO : boolean := true; -- Set this to false when you no longer need the initial info + constant C_SHOW_BITVIS_UTILITY_LIBRARY_RELEASE_INFO : boolean := true; -- Set this to false when you no longer need the release info + + ------------------------------------------------------------------------------- + -- Log format + ------------------------------------------------------------------------------- + --Bitvis: []