From a347b47a28cdcb65e5594795947ed12547d4b21e Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sat, 16 May 2015 16:19:03 +0200 Subject: Testcase for ticket 64. --- testsuite/gna/ticket64/bug2.vhdl | 15 +++++++++++++++ testsuite/gna/ticket64/file.vhdl | 11 +++++++++++ testsuite/gna/ticket64/testsuite.sh | 13 +++++++++++++ 3 files changed, 39 insertions(+) create mode 100644 testsuite/gna/ticket64/bug2.vhdl create mode 100644 testsuite/gna/ticket64/file.vhdl create mode 100755 testsuite/gna/ticket64/testsuite.sh (limited to 'testsuite') diff --git a/testsuite/gna/ticket64/bug2.vhdl b/testsuite/gna/ticket64/bug2.vhdl new file mode 100644 index 000000000..2ead4dd04 --- /dev/null +++ b/testsuite/gna/ticket64/bug2.vhdl @@ -0,0 +1,15 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity ent2 is +end entity; + +architecture a of ent2 is + procedure proc(constant value : std_logic_vector) is + constant l : natural := maximum (value'length, value'length); + begin + end procedure; +begin +end architecture; + diff --git a/testsuite/gna/ticket64/file.vhdl b/testsuite/gna/ticket64/file.vhdl new file mode 100644 index 000000000..281bc7a07 --- /dev/null +++ b/testsuite/gna/ticket64/file.vhdl @@ -0,0 +1,11 @@ +entity ent is +end entity; + +architecture a of ent is +begin + main : process is + begin + report to_string(1); + wait; + end process; +end architecture; diff --git a/testsuite/gna/ticket64/testsuite.sh b/testsuite/gna/ticket64/testsuite.sh new file mode 100755 index 000000000..66b088184 --- /dev/null +++ b/testsuite/gna/ticket64/testsuite.sh @@ -0,0 +1,13 @@ +#! /bin/sh + +. ../../testenv.sh + +GHDL_STD_FLAGS=--std=08 +analyze file.vhdl +elab_simulate ent + +analyze bug2.vhdl + +clean + +echo "Test successful" -- cgit v1.2.3