From 89fc56f98e81a9fa390e4e80ddefff72e68237e7 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Mon, 3 Jan 2022 18:11:02 +0100 Subject: testsuite/synth: add a test for #1938 --- testsuite/synth/issue1938/test.vhdl | 11 +++++++++++ testsuite/synth/issue1938/testsuite.sh | 8 ++++++++ 2 files changed, 19 insertions(+) create mode 100644 testsuite/synth/issue1938/test.vhdl create mode 100755 testsuite/synth/issue1938/testsuite.sh (limited to 'testsuite') diff --git a/testsuite/synth/issue1938/test.vhdl b/testsuite/synth/issue1938/test.vhdl new file mode 100644 index 000000000..a84bf73c2 --- /dev/null +++ b/testsuite/synth/issue1938/test.vhdl @@ -0,0 +1,11 @@ +package gen_pkg is + generic (N : integer); +end gen_pkg; + +entity test is +end entity test; + +architecture simple of test is + package pks is new work.gen_pkg generic map (N => 1); +begin +end architecture simple; diff --git a/testsuite/synth/issue1938/testsuite.sh b/testsuite/synth/issue1938/testsuite.sh new file mode 100755 index 000000000..1bd00c114 --- /dev/null +++ b/testsuite/synth/issue1938/testsuite.sh @@ -0,0 +1,8 @@ +#! /bin/sh + +. ../../testenv.sh + +GHDL_STD_FLAGS=--std=08 +synth_only test + +echo "Test successful" -- cgit v1.2.3