From 826a7d77c03ab03a4b173519346daf820ed5a3e5 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Wed, 8 Jan 2020 20:43:22 +0100 Subject: testsuite/synth: add a test for #1068 --- testsuite/synth/issue1068/ent.vhdl | 16 ++++++++++++++++ testsuite/synth/issue1068/testsuite.sh | 7 +++++++ 2 files changed, 23 insertions(+) create mode 100644 testsuite/synth/issue1068/ent.vhdl create mode 100755 testsuite/synth/issue1068/testsuite.sh (limited to 'testsuite') diff --git a/testsuite/synth/issue1068/ent.vhdl b/testsuite/synth/issue1068/ent.vhdl new file mode 100644 index 000000000..04487cb63 --- /dev/null +++ b/testsuite/synth/issue1068/ent.vhdl @@ -0,0 +1,16 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity ent is +end; + +architecture a of ent is +begin + assert false + report "Just a note" + severity note; + + assert false + report "Test assertion failed" + severity failure; +end; diff --git a/testsuite/synth/issue1068/testsuite.sh b/testsuite/synth/issue1068/testsuite.sh new file mode 100755 index 000000000..11b3ee2be --- /dev/null +++ b/testsuite/synth/issue1068/testsuite.sh @@ -0,0 +1,7 @@ +#! /bin/sh + +. ../../testenv.sh + +! synth ent.vhdl -e + +echo "Test successful" -- cgit v1.2.3