From 5015316150af384bd6d26136c43647f0e799cfc8 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sun, 13 Mar 2022 21:06:40 +0100 Subject: testsuite/gna: add a test for #2006 --- testsuite/gna/issue2006/filpkg.vhdl | 6 ++++++ testsuite/gna/issue2006/mypkg.vhdl | 7 +++++++ testsuite/gna/issue2006/testsuite.sh | 11 +++++++++++ 3 files changed, 24 insertions(+) create mode 100644 testsuite/gna/issue2006/filpkg.vhdl create mode 100644 testsuite/gna/issue2006/mypkg.vhdl create mode 100755 testsuite/gna/issue2006/testsuite.sh (limited to 'testsuite') diff --git a/testsuite/gna/issue2006/filpkg.vhdl b/testsuite/gna/issue2006/filpkg.vhdl new file mode 100644 index 000000000..5ba7d60d4 --- /dev/null +++ b/testsuite/gna/issue2006/filpkg.vhdl @@ -0,0 +1,6 @@ +package filpkg is + type foo; + type foo_acc is access foo; + + type foo is file of character; +end package filpkg; diff --git a/testsuite/gna/issue2006/mypkg.vhdl b/testsuite/gna/issue2006/mypkg.vhdl new file mode 100644 index 000000000..4ad29cd46 --- /dev/null +++ b/testsuite/gna/issue2006/mypkg.vhdl @@ -0,0 +1,7 @@ +package mypkg is + type foo; + type foo_acc is access foo; + + type foo is protected + end protected; +end package mypkg; diff --git a/testsuite/gna/issue2006/testsuite.sh b/testsuite/gna/issue2006/testsuite.sh new file mode 100755 index 000000000..0406748f1 --- /dev/null +++ b/testsuite/gna/issue2006/testsuite.sh @@ -0,0 +1,11 @@ +#! /bin/sh + +. ../../testenv.sh + +export GHDL_STD_FLAGS=--std=08 +analyze_failure mypkg.vhdl +analyze_failure filpkg.vhdl + +clean + +echo "Test successful" -- cgit v1.2.3