From 0a96f62124b33a501dafa2da71dc890aad386491 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Tue, 24 Dec 2013 15:42:53 +0100 Subject: Fix names such as 'range'left. --- testsuite/vests/vhdl-93/clifton-labs/compliant/compliant1.exp | 8 ++++---- .../functional/generics/entity-generic-defines-port-type.vhdl | 2 +- 2 files changed, 5 insertions(+), 5 deletions(-) (limited to 'testsuite/vests') diff --git a/testsuite/vests/vhdl-93/clifton-labs/compliant/compliant1.exp b/testsuite/vests/vhdl-93/clifton-labs/compliant/compliant1.exp index 0f6cb9d79..74d6a4e79 100644 --- a/testsuite/vests/vhdl-93/clifton-labs/compliant/compliant1.exp +++ b/testsuite/vests/vhdl-93/clifton-labs/compliant/compliant1.exp @@ -13,7 +13,7 @@ run_compliant_test functional/attributes/signal/simple-last_event-attribute.vhdl run_compliant_test functional/attributes/signal/simple-last_value-attribute.vhdl run_compliant_test functional/attributes/type/base/simple-integer-test.vhdl run_compliant_test functional/attributes/type/left/simple-integer-test.vhdl -#run_compliant_test functional/attributes/type/range/simple-range-attribute.vhdl +run_compliant_test functional/attributes/type/range/simple-range-attribute.vhdl run_compliant_test functional/attributes/type/right/simple-integer-test.vhdl run_compliant_test functional/attributes/type/simple-integer-test-ascending.vhdl run_compliant_test functional/attributes/type/simple-integer-test-high.vhdl @@ -37,7 +37,7 @@ run_compliant_test functional/entities/pass-integer-through-inout-port.vhdl run_compliant_test functional/functions/simple-out-parameter.vhdl run_compliant_test functional/functions/simple-procedure-call.vhdl run_compliant_test functional/functions/unconstrained_parameter.vhdl -#run_compliant_test functional/generics/entity-generic-defines-port-type.vhdl +run_compliant_test functional/generics/entity-generic-defines-port-type.vhdl run_compliant_test functional/generics/simple-entity-generic.vhdl run_compliant_test functional/objects/constants/simple-string-constant.vhdl run_compliant_test functional/objects/signals/assignments/integer-fanout.vhdl @@ -61,8 +61,8 @@ run_compliant_test functional/signals/assign/simple-integer-initialize.vhdl run_compliant_test functional/slices/simple-slice.vhdl run_compliant_test functional/slices/slice-lvalue.vhdl run_compliant_test functional/statements/block-statements/simple-grouping-block.vhdl -#run_compliant_test functional/statements/for-loops/dynamic_package_procedure_for_loop.vhdl -#run_compliant_test functional/statements/for-loops/dynamic_procedure_for_loop.vhdl +run_compliant_test functional/statements/for-loops/dynamic_package_procedure_for_loop.vhdl +run_compliant_test functional/statements/for-loops/dynamic_procedure_for_loop.vhdl run_compliant_test functional/statements/for-loops/enumeration-for-loop-constrained.vhdl run_compliant_test functional/statements/for-loops/enumeration-for-loop.vhdl run_compliant_test functional/statements/for-loops/integer-for-loop.vhdl diff --git a/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/generics/entity-generic-defines-port-type.vhdl b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/generics/entity-generic-defines-port-type.vhdl index 297cbd35b..2257c1204 100644 --- a/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/generics/entity-generic-defines-port-type.vhdl +++ b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/generics/entity-generic-defines-port-type.vhdl @@ -24,7 +24,7 @@ architecture only of test_bench is signal gdpt1_input : bit_vector( 3 downto 0 ) := "0000"; signal gdpt1_finished : boolean := false; begin -- only - gdpt1: entity generic_defines_port_type + gdpt1: entity work.generic_defines_port_type generic map ( width => 4 ) port map ( input => gdpt1_input, finished => gdpt1_finished ); -- cgit v1.2.3