From 6c3f709174e8e4d5411f851cedb7d84c38d3b04a Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Fri, 20 Dec 2013 04:48:54 +0100 Subject: Import vests testsuite --- .../objects/constants/simple-string-constant.vhdl | 15 ++++++++++ .../signals/assignments/integer-fanout.vhdl | 35 ++++++++++++++++++++++ .../signals/assignments/simple-integer-assign.vhdl | 23 ++++++++++++++ .../assignments/simple-integer-initialize.vhdl | 13 ++++++++ ...nteger-variable-persist-across-activations.vhdl | 26 ++++++++++++++++ .../variable/simple-enumeration-assign.vhdl | 16 ++++++++++ .../objects/variable/simple-integer-assign.vhdl | 16 ++++++++++ .../variable/simple-integer-initialize.vhdl | 15 ++++++++++ 8 files changed, 159 insertions(+) create mode 100644 testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/constants/simple-string-constant.vhdl create mode 100644 testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/signals/assignments/integer-fanout.vhdl create mode 100644 testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/signals/assignments/simple-integer-assign.vhdl create mode 100644 testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/signals/assignments/simple-integer-initialize.vhdl create mode 100644 testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/variable/integer-variable-persist-across-activations.vhdl create mode 100644 testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/variable/simple-enumeration-assign.vhdl create mode 100644 testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/variable/simple-integer-assign.vhdl create mode 100644 testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/variable/simple-integer-initialize.vhdl (limited to 'testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects') diff --git a/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/constants/simple-string-constant.vhdl b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/constants/simple-string-constant.vhdl new file mode 100644 index 000000000..88b3d135b --- /dev/null +++ b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/constants/simple-string-constant.vhdl @@ -0,0 +1,15 @@ +entity test is +end test; + +architecture only of test is +begin -- only + doit: process + constant string_constant : string := "init"; + begin -- process + assert string_constant(1) = 'i' REPORT "string_constant(1) not properly intialized" SEVERITY FAILURE; + assert string_constant(2) = 'n' REPORT "string_constant(2) not properly intialized" SEVERITY FAILURE; + assert string_constant(3) = 'i' REPORT "string_constant(3) not properly intialized" SEVERITY FAILURE; + assert string_constant(4) = 't' REPORT "string_constant(4) not properly intialized" SEVERITY FAILURE; + wait; + end process; +end only; diff --git a/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/signals/assignments/integer-fanout.vhdl b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/signals/assignments/integer-fanout.vhdl new file mode 100644 index 000000000..1e479aa8f --- /dev/null +++ b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/signals/assignments/integer-fanout.vhdl @@ -0,0 +1,35 @@ +entity test_bench is +end test_bench; + +architecture only of test_bench is + signal sig : integer := 0; +begin -- only + assign: process + begin -- process p + sig <= 1; + wait; + end process assign; + + check1: process + begin -- process check1 + wait for 1 fs; + assert sig = 1 report "TEST FAILED" severity FAILURE; + wait; + end process check1; + + check2: process + begin -- process check1 + wait for 1 fs; + assert sig = 1 report "TEST FAILED" severity FAILURE; + wait; + end process check2; + + check3: process + begin -- process check1 + wait for 2 fs; + report "TEST PASSED" severity NOTE; + wait; + end process check3; + + +end only; diff --git a/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/signals/assignments/simple-integer-assign.vhdl b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/signals/assignments/simple-integer-assign.vhdl new file mode 100644 index 000000000..3efa37b6e --- /dev/null +++ b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/signals/assignments/simple-integer-assign.vhdl @@ -0,0 +1,23 @@ +entity test_bench is +end test_bench; + +architecture only of test_bench is + signal sig : integer := 0; +begin -- only + p: process + begin -- process p + sig <= 1; + wait for 1 fs; + assert sig = 1 report "TEST FAILED" severity FAILURE; + report "TEST PASSED" severity NOTE; + wait; + end process p; + + r: process (sig) + begin -- process r + if sig'event then + report "Event on sig, new value = " & integer'image( sig ); + end if; + end process r; + +end only; diff --git a/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/signals/assignments/simple-integer-initialize.vhdl b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/signals/assignments/simple-integer-initialize.vhdl new file mode 100644 index 000000000..91e45ebc9 --- /dev/null +++ b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/signals/assignments/simple-integer-initialize.vhdl @@ -0,0 +1,13 @@ +entity test_bench is +end test_bench; + +architecture only of test_bench is + signal sig : integer := 0; +begin -- only + p: process + begin -- process p + assert sig = 0 report "TEST FAILED" severity FAILURE; + report "TEST PASSED" severity NOTE; + wait; + end process p; +end only; diff --git a/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/variable/integer-variable-persist-across-activations.vhdl b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/variable/integer-variable-persist-across-activations.vhdl new file mode 100644 index 000000000..1f7e65fd4 --- /dev/null +++ b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/variable/integer-variable-persist-across-activations.vhdl @@ -0,0 +1,26 @@ +entity foo is +end foo; + +use std.textio.all; + +architecture only of foo is + signal clock : bit; +begin -- only + process (clock) + variable x : integer := 0; + variable l : line; + begin -- process + write( l, string'( "x = " ) ); + write( l, x ); + writeline( output, l ); + x := x + 1; + end process; + + process + begin -- process + clock <= '1' after 1 ns, + '0' after 2 ns, + '1' after 3 ns; + wait; + end process; +end only; diff --git a/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/variable/simple-enumeration-assign.vhdl b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/variable/simple-enumeration-assign.vhdl new file mode 100644 index 000000000..e324a398e --- /dev/null +++ b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/variable/simple-enumeration-assign.vhdl @@ -0,0 +1,16 @@ +entity foo is +end foo; + +use std.textio.all; + +architecture only of foo is +begin -- only + process + variable x : boolean := false; + begin -- process + x := true; + assert x = true report "TEST FAILED - x does not equal true" severity failure; + assert x /= true report "TEST PASSED" severity note; + wait; + end process; +end only; diff --git a/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/variable/simple-integer-assign.vhdl b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/variable/simple-integer-assign.vhdl new file mode 100644 index 000000000..265cadbce --- /dev/null +++ b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/variable/simple-integer-assign.vhdl @@ -0,0 +1,16 @@ +entity foo is +end foo; + +use std.textio.all; + +architecture only of foo is +begin -- only + process + variable x : integer := 0; + begin -- process + x := 1; + assert x = 1 report "TEST FAILED - x does not equal 1" severity failure; + assert x /= 1 report "TEST PASSED" severity note; + wait; + end process; +end only; diff --git a/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/variable/simple-integer-initialize.vhdl b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/variable/simple-integer-initialize.vhdl new file mode 100644 index 000000000..fc2ded3b5 --- /dev/null +++ b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/variable/simple-integer-initialize.vhdl @@ -0,0 +1,15 @@ +entity foo is +end foo; + +use std.textio.all; + +architecture only of foo is +begin -- only + process + variable x : integer := 0; + begin -- process + assert x = 0 report "TEST FAILED - x does not equal 1" severity failure; + report "TEST PASSED" severity note; + wait; + end process; +end only; -- cgit v1.2.3