From a8d4774582470c6c67f6520e9ae83f89dbd5c7d4 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Mon, 24 Aug 2020 21:06:38 +0200 Subject: testsuite/synth: add a test for ghdl/ghdl-yosys-plugin#129 --- testsuite/synth/synth129/tb_test.vhdl | 27 +++++++++++++++++++++++++++ 1 file changed, 27 insertions(+) create mode 100644 testsuite/synth/synth129/tb_test.vhdl (limited to 'testsuite/synth/synth129/tb_test.vhdl') diff --git a/testsuite/synth/synth129/tb_test.vhdl b/testsuite/synth/synth129/tb_test.vhdl new file mode 100644 index 000000000..80b6146fc --- /dev/null +++ b/testsuite/synth/synth129/tb_test.vhdl @@ -0,0 +1,27 @@ +entity tb_test is +end tb_test; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +architecture behav of tb_test is + signal s : std_logic := '0'; + signal a : unsigned(7 downto 0); + signal r : integer range 0 to 255; +begin + dut: entity work.test + port map (s, a, r); + + process + begin + wait for 1 ns; + assert r = 0 severity failure; + + s <= '1'; + a <= x"a5"; + wait for 1 ns; + assert r = 165 severity failure; + wait; + end process; +end behav; -- cgit v1.2.3