From da2aeae6aad9977218a17013cdd38577aebaeb3d Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sun, 29 Jan 2023 08:38:14 +0100 Subject: testsuite/gna: add tests for #2328 --- testsuite/synth/issue2328/test.vhdl | 9 +++++++++ 1 file changed, 9 insertions(+) create mode 100644 testsuite/synth/issue2328/test.vhdl (limited to 'testsuite/synth/issue2328/test.vhdl') diff --git a/testsuite/synth/issue2328/test.vhdl b/testsuite/synth/issue2328/test.vhdl new file mode 100644 index 000000000..520e2d22f --- /dev/null +++ b/testsuite/synth/issue2328/test.vhdl @@ -0,0 +1,9 @@ +entity test is +end test; + +architecture behavior of test is +signal a: bit_vector(3 downto 0); +signal b: bit; +begin + b <= and a; +end behavior; -- cgit v1.2.3