From cf57ad79e2ba7995df7e2af2d3ab7b94e040ae52 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sat, 11 Sep 2021 19:20:02 +0200 Subject: testsuite/synth: add a test for #1860 --- testsuite/synth/issue1860/test.vhdl | 7 +++++++ 1 file changed, 7 insertions(+) create mode 100644 testsuite/synth/issue1860/test.vhdl (limited to 'testsuite/synth/issue1860/test.vhdl') diff --git a/testsuite/synth/issue1860/test.vhdl b/testsuite/synth/issue1860/test.vhdl new file mode 100644 index 000000000..aabda0eba --- /dev/null +++ b/testsuite/synth/issue1860/test.vhdl @@ -0,0 +1,7 @@ +ENTITY test IS +END ENTITY; + +ARCHITECTURE rtl OF test IS +BEGIN +END ARCHITECTURE; + -- cgit v1.2.3