From 921e989f6e638cd655835040839b2c003385ec38 Mon Sep 17 00:00:00 2001 From: bwimm Date: Tue, 7 Apr 2020 21:05:32 +0200 Subject: synth-static_oper: handle mul uns nat. (#1200) --- testsuite/synth/issue1179/bug.vhdl | 7 +++++-- 1 file changed, 5 insertions(+), 2 deletions(-) (limited to 'testsuite/synth/issue1179') diff --git a/testsuite/synth/issue1179/bug.vhdl b/testsuite/synth/issue1179/bug.vhdl index a4271b91f..70f7a8eca 100644 --- a/testsuite/synth/issue1179/bug.vhdl +++ b/testsuite/synth/issue1179/bug.vhdl @@ -4,7 +4,8 @@ use IEEE.numeric_std.all; entity bug is port( - dummy : out positive + m0 : out positive; + m1 : out positive ); end bug; @@ -12,7 +13,9 @@ architecture behav of bug is constant A : positive := 4; constant B : positive := 1100; constant C : positive := to_integer(A * to_unsigned(B, 11)); + constant D : positive := to_integer(to_unsigned(B, 11) * A); begin - dummy <= c; + m0 <= c; + m1 <= d; end architecture; -- cgit v1.2.3