From 5336f2f3fb7f43ec208f1357588195fc2d915637 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Tue, 16 Apr 2019 19:03:15 +0200 Subject: testsuite: add dff01 tests. --- testsuite/synth/dff01/dff02.vhdl | 21 +++++++++++++++++++++ 1 file changed, 21 insertions(+) create mode 100644 testsuite/synth/dff01/dff02.vhdl (limited to 'testsuite/synth/dff01/dff02.vhdl') diff --git a/testsuite/synth/dff01/dff02.vhdl b/testsuite/synth/dff01/dff02.vhdl new file mode 100644 index 000000000..0d8eaf67d --- /dev/null +++ b/testsuite/synth/dff01/dff02.vhdl @@ -0,0 +1,21 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity dff02 is + port (q : out std_logic; + d : std_logic; + clk : std_logic; + rstn : std_logic); +end dff02; + +architecture behav of dff02 is +begin + process (clk, rstn) is + begin + if rstn = '0' then + q <= '0'; + elsif rising_edge (clk) then + q <= d; + end if; + end process; +end behav; -- cgit v1.2.3