From 1a65ac6bbbaf6cdaf1ea93c0c46f2e97e12e9dcc Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sat, 9 May 2020 11:02:23 +0200 Subject: testsuite/synth: add case tests for corner case. --- testsuite/synth/case01/case03.vhdl | 13 +++++++++++++ 1 file changed, 13 insertions(+) create mode 100644 testsuite/synth/case01/case03.vhdl (limited to 'testsuite/synth/case01/case03.vhdl') diff --git a/testsuite/synth/case01/case03.vhdl b/testsuite/synth/case01/case03.vhdl new file mode 100644 index 000000000..3f1894afa --- /dev/null +++ b/testsuite/synth/case01/case03.vhdl @@ -0,0 +1,13 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity case03 is + port (a : std_logic_vector (4 downto 0); + o : out std_logic); +end case03; + +architecture behav of case03 is +begin + with a select o <= + '0' when others; +end behav; -- cgit v1.2.3