From 181943dc7378a8899353ed4b885ab5f290004677 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Mon, 18 Jan 2021 19:13:17 +0100 Subject: testsuite/pyunit/lsp: use absolute path and metavalue @ROOT@ --- testsuite/pyunit/lsp/003errors/crash1.json | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) (limited to 'testsuite/pyunit/lsp/003errors/crash1.json') diff --git a/testsuite/pyunit/lsp/003errors/crash1.json b/testsuite/pyunit/lsp/003errors/crash1.json index 49c43326b..c323639ac 100644 --- a/testsuite/pyunit/lsp/003errors/crash1.json +++ b/testsuite/pyunit/lsp/003errors/crash1.json @@ -5,8 +5,8 @@ "method": "initialize", "params": { "processId": 27805, - "rootPath": "003errors", - "rootUri": "file://003errors", + "rootPath": "@ROOT@/003errors", + "rootUri": "file://@ROOT@/003errors", "capabilities": { "workspace": { "applyEdit": true, @@ -203,7 +203,7 @@ "trace": "off", "workspaceFolders": [ { - "uri": "file://003errors", + "uri": "file://@ROOT@/003errors", "name": "003errors" } ] @@ -219,7 +219,7 @@ "method": "textDocument/didOpen", "params": { "textDocument": { - "uri": "file://003errors/tc.vhdl", + "uri": "file://@ROOT@/003errors/tc.vhdl", "languageId": "vhdl", "version": 74, "text": "library ieee;\nuse ieee.std_logic_1164.all;\nuse ieee.std_logic_unsigned.all;\n\nentity \n" -- cgit v1.2.3