From 0c27469dc815ba0ff3aa8fee61c31b3bba53d695 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Mon, 25 Mar 2019 20:11:06 +0100 Subject: Add testcase for #787 --- testsuite/gna/issue787/ent.vhdl | 15 +++++++++++++++ testsuite/gna/issue787/testsuite.sh | 10 ++++++++++ 2 files changed, 25 insertions(+) create mode 100644 testsuite/gna/issue787/ent.vhdl create mode 100755 testsuite/gna/issue787/testsuite.sh (limited to 'testsuite/gna') diff --git a/testsuite/gna/issue787/ent.vhdl b/testsuite/gna/issue787/ent.vhdl new file mode 100644 index 000000000..db7063d18 --- /dev/null +++ b/testsuite/gna/issue787/ent.vhdl @@ -0,0 +1,15 @@ +entity ent is +end ent; + +architecture a of ent is + signal sig_x : bit; + signal sig_y : bit; + + signal sig_z : bit_vector(1 downto 0); +begin + -- works + -- (sig_x, sig_y) <= sig_z; + + -- "raised TYPES.INTERNAL_ERROR : trans.adb:619" + (sig_x, sig_y) <= not sig_z; +end a; diff --git a/testsuite/gna/issue787/testsuite.sh b/testsuite/gna/issue787/testsuite.sh new file mode 100755 index 000000000..9bc0d1b47 --- /dev/null +++ b/testsuite/gna/issue787/testsuite.sh @@ -0,0 +1,10 @@ +#! /bin/sh + +. ../../testenv.sh + +analyze ent.vhdl +elab_simulate ent + +clean + +echo "Test successful" -- cgit v1.2.3