From 0abcfa29b88342d471c5baf0a140d65be0979ac9 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Tue, 8 Nov 2022 18:40:32 +0100 Subject: testsuite/gna: add a test for #2239 --- testsuite/gna/issue2239/ent.vhdl | 25 +++++++++++++++++++++++++ testsuite/gna/issue2239/testsuite.sh | 9 +++++++++ 2 files changed, 34 insertions(+) create mode 100644 testsuite/gna/issue2239/ent.vhdl create mode 100755 testsuite/gna/issue2239/testsuite.sh (limited to 'testsuite/gna') diff --git a/testsuite/gna/issue2239/ent.vhdl b/testsuite/gna/issue2239/ent.vhdl new file mode 100644 index 000000000..bc7b93998 --- /dev/null +++ b/testsuite/gna/issue2239/ent.vhdl @@ -0,0 +1,25 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity ent is +end entity; + +architecture behaviour of ent is + + signal test : std_logic_vector(3 downto 0); + + procedure DUMMY (signal slv : out std_logic_vector(7 downto 0)) is + begin + slv <= x"00"; + end procedure; + +begin + + process + begin + DUMMY (slv(7 downto 4) => open, + slv(3 downto 0) => test); + wait; + end process; + +end architecture; diff --git a/testsuite/gna/issue2239/testsuite.sh b/testsuite/gna/issue2239/testsuite.sh new file mode 100755 index 000000000..9e7e2a886 --- /dev/null +++ b/testsuite/gna/issue2239/testsuite.sh @@ -0,0 +1,9 @@ +#! /bin/sh + +. ../../testenv.sh + +analyze_failure ent.vhdl + +clean + +echo "Test successful" -- cgit v1.2.3