From cf227d317d561ab39327a12cf7c9b2a24daf72aa Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Tue, 12 May 2015 20:35:20 +0200 Subject: Testcase for ticket58. --- testsuite/gna/ticket58/bug.vhdl | 7 +++++++ testsuite/gna/ticket58/testsuite.sh | 10 ++++++++++ 2 files changed, 17 insertions(+) create mode 100644 testsuite/gna/ticket58/bug.vhdl create mode 100755 testsuite/gna/ticket58/testsuite.sh (limited to 'testsuite/gna/ticket58') diff --git a/testsuite/gna/ticket58/bug.vhdl b/testsuite/gna/ticket58/bug.vhdl new file mode 100644 index 000000000..1f95e8951 --- /dev/null +++ b/testsuite/gna/ticket58/bug.vhdl @@ -0,0 +1,7 @@ +package pkg is +end package; + +context ctx is + library lib; + use lib.pkg.all; +end context; diff --git a/testsuite/gna/ticket58/testsuite.sh b/testsuite/gna/ticket58/testsuite.sh new file mode 100755 index 000000000..c4e887895 --- /dev/null +++ b/testsuite/gna/ticket58/testsuite.sh @@ -0,0 +1,10 @@ +#! /bin/sh + +. ../../testenv.sh + +GHDL_STD_FLAGS="--std=08 --work=lib" +analyze bug.vhdl + +clean + +echo "Test successful" -- cgit v1.2.3