From c5f48631616aaa6b4c8a03031f0458fdcba90b68 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Tue, 27 Nov 2018 18:27:09 +0100 Subject: Add reproducer for #708 --- testsuite/gna/issue708/ent.vhdl | 24 ++++++++++++++++++++++++ 1 file changed, 24 insertions(+) create mode 100644 testsuite/gna/issue708/ent.vhdl (limited to 'testsuite/gna/issue708/ent.vhdl') diff --git a/testsuite/gna/issue708/ent.vhdl b/testsuite/gna/issue708/ent.vhdl new file mode 100644 index 000000000..17fe9cbef --- /dev/null +++ b/testsuite/gna/issue708/ent.vhdl @@ -0,0 +1,24 @@ +library IEEE; +use IEEE.std_logic_1164.all; + +entity ent is +end entity; + +architecture a of ent is + constant CHECK : natural := 4; + signal last : std_logic; + signal clk: std_logic; +begin + process(clk) + variable i : natural range 0 to 127 := 0; + begin + if rising_edge(clk) then + if (((i+1) mod CHECK = 0) xor (last = '1')) then + report "Above line crashes"; + end if; + + i := i + 1; + end if; + end process; + +end architecture; -- cgit v1.2.3