From 0a20fceb58f4701127e6cae2f3e71b856d6e69dd Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Wed, 6 Jun 2018 20:38:01 +0200 Subject: Add testcase for #598 --- testsuite/gna/issue598/tb.vhd | 20 ++++++++++++++++++++ testsuite/gna/issue598/testsuite.sh | 11 +++++++++++ 2 files changed, 31 insertions(+) create mode 100644 testsuite/gna/issue598/tb.vhd create mode 100755 testsuite/gna/issue598/testsuite.sh (limited to 'testsuite/gna/issue598') diff --git a/testsuite/gna/issue598/tb.vhd b/testsuite/gna/issue598/tb.vhd new file mode 100644 index 000000000..3761a6233 --- /dev/null +++ b/testsuite/gna/issue598/tb.vhd @@ -0,0 +1,20 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity tb is +end entity; + +architecture tb of tb is +begin + process + constant a : std_logic_vector(3 downto 0) := x"A"; + variable b : std_logic_vector(3 downto 0) := x"B"; + begin + report to_string(b); -- OK + report to_string(a); -- fails + assert to_string(a) = "1010"; + assert to_string(b) = "1011"; + wait; + end process; +end architecture; + diff --git a/testsuite/gna/issue598/testsuite.sh b/testsuite/gna/issue598/testsuite.sh new file mode 100755 index 000000000..6a2798958 --- /dev/null +++ b/testsuite/gna/issue598/testsuite.sh @@ -0,0 +1,11 @@ +#! /bin/sh + +. ../../testenv.sh + +export GHDL_STD_FLAGS=--std=08 +analyze tb.vhd +elab_simulate tb + +clean + +echo "Test successful" -- cgit v1.2.3