From 845f181d0b66e9d917988566ab9e0e8e9f258d9a Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Wed, 4 Oct 2017 20:45:05 +0200 Subject: Add reproducer for #424 --- testsuite/gna/issue424/subBlock.vhd | 15 +++++++++++++++ testsuite/gna/issue424/testCaseCrash.vhd | 23 +++++++++++++++++++++++ testsuite/gna/issue424/testCaseGood.vhd | 23 +++++++++++++++++++++++ testsuite/gna/issue424/testsuite.sh | 15 +++++++++++++++ 4 files changed, 76 insertions(+) create mode 100644 testsuite/gna/issue424/subBlock.vhd create mode 100644 testsuite/gna/issue424/testCaseCrash.vhd create mode 100644 testsuite/gna/issue424/testCaseGood.vhd create mode 100755 testsuite/gna/issue424/testsuite.sh (limited to 'testsuite/gna/issue424') diff --git a/testsuite/gna/issue424/subBlock.vhd b/testsuite/gna/issue424/subBlock.vhd new file mode 100644 index 000000000..4b866abc9 --- /dev/null +++ b/testsuite/gna/issue424/subBlock.vhd @@ -0,0 +1,15 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity subBlock is + port (outPort : out std_logic; + inPort : in std_logic_vector(3 downto 0) + ); +end entity subBlock; + +architecture behavioral of subBlock is + +begin + outPort <= inPort(0); + +end architecture behavioral; diff --git a/testsuite/gna/issue424/testCaseCrash.vhd b/testsuite/gna/issue424/testCaseCrash.vhd new file mode 100644 index 000000000..555d4db7f --- /dev/null +++ b/testsuite/gna/issue424/testCaseCrash.vhd @@ -0,0 +1,23 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity testCaseCrash is + port (outPad : out std_logic; + inPad : in std_logic + ); +end entity testCaseCrash; + +architecture behavioral of testCaseCrash is + component subBlock is + port (outPort : out std_logic; + inPort : in std_logic + ); + end component subBlock; + +begin + xsubBlock : subBlock + port map (outPort => outPad, + inPort => inPad + ); + +end architecture behavioral; diff --git a/testsuite/gna/issue424/testCaseGood.vhd b/testsuite/gna/issue424/testCaseGood.vhd new file mode 100644 index 000000000..ea030acae --- /dev/null +++ b/testsuite/gna/issue424/testCaseGood.vhd @@ -0,0 +1,23 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity testCaseGood is + port (outPad : out std_logic; + inPad : in std_logic_vector(3 downto 0) + ); +end entity testCaseGood; + +architecture behavioral of testCaseGood is + component subBlock is + port (outPort : out std_logic; + inPort : in std_logic_vector(3 downto 0) + ); + end component subBlock; + +begin + xsubBlock : subBlock + port map (outPort => outPad, + inPort => inPad + ); + +end architecture behavioral; diff --git a/testsuite/gna/issue424/testsuite.sh b/testsuite/gna/issue424/testsuite.sh new file mode 100755 index 000000000..81ee72d97 --- /dev/null +++ b/testsuite/gna/issue424/testsuite.sh @@ -0,0 +1,15 @@ +#! /bin/sh + +. ../../testenv.sh + +analyze subBlock.vhd + +analyze testCaseGood.vhd +elab_simulate testcasegood + +analyze testCaseCrash.vhd +elab_failure testcasecrash + +clean + +echo "Test successful" -- cgit v1.2.3