From 4d14b77ce02b89b1a22718ce607b16d823d95c74 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Wed, 10 Aug 2022 16:55:22 +0200 Subject: testsuite/gna: add a reproducer for #2166 --- testsuite/gna/issue2166/tb2.vhdl | 35 +++++++++++++++++++++++++++++++++++ 1 file changed, 35 insertions(+) create mode 100644 testsuite/gna/issue2166/tb2.vhdl (limited to 'testsuite/gna/issue2166/tb2.vhdl') diff --git a/testsuite/gna/issue2166/tb2.vhdl b/testsuite/gna/issue2166/tb2.vhdl new file mode 100644 index 000000000..f08f5d659 --- /dev/null +++ b/testsuite/gna/issue2166/tb2.vhdl @@ -0,0 +1,35 @@ +library OSVVM ; + +use OSVVM.MemorySupportPkg.all ; + +package MemoryPkg is new OSVVM.MemoryGenericPkg + generic map ( +-- MemoryBaseType => MemoryBaseType_X, + SizeMemoryBaseType => SizeMemoryBaseType_X, + ToMemoryBaseType => ToMemoryBaseType_X, + FromMemoryBaseType => FromMemoryBaseType_X, + InitMemoryBaseType => InitMemoryBaseType_X + ) ; + +library ieee ; +use ieee.std_logic_1164.all ; +use ieee.numeric_std.all ; +use work.MemoryPkg.all; + +entity tb2 is +end; + +architecture behav of tb2 is +begin + process + variable MemoryID : MemoryIDType; + begin + MemoryID := NewID( + Name => "my_sram", + AddrWidth => 20, + DataWidth => 16); + + MemWrite(MemoryId, x"00000", x"0000"); + wait; + end process; +end ; -- cgit v1.2.3