From d6cb5a78af823c5405730ec71cbc7a1412621871 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Mon, 29 Aug 2016 20:50:12 +0200 Subject: Add testcase for issue #136 --- testsuite/gna/issue136/ent.vhdl | 2 ++ 1 file changed, 2 insertions(+) create mode 100644 testsuite/gna/issue136/ent.vhdl (limited to 'testsuite/gna/issue136/ent.vhdl') diff --git a/testsuite/gna/issue136/ent.vhdl b/testsuite/gna/issue136/ent.vhdl new file mode 100644 index 000000000..2aed1a994 --- /dev/null +++ b/testsuite/gna/issue136/ent.vhdl @@ -0,0 +1,2 @@ +entity tb is +end tb; -- cgit v1.2.3