From a5f7ddf81a2aa5d70fdeedf08e1652a7f54addfb Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sun, 19 Jul 2020 08:48:18 +0200 Subject: synth-oper: handle sub uns/log. --- src/synth/synth-oper.adb | 1 + 1 file changed, 1 insertion(+) (limited to 'src') diff --git a/src/synth/synth-oper.adb b/src/synth/synth-oper.adb index e63baebe8..e571beff9 100644 --- a/src/synth/synth-oper.adb +++ b/src/synth/synth-oper.adb @@ -911,6 +911,7 @@ package body Synth.Oper is -- "-" (Unsigned, Natural) return Synth_Dyadic_Uns_Nat (Ctxt, Id_Sub, Left, Right, Expr); when Iir_Predefined_Ieee_Numeric_Std_Sub_Uns_Uns + | Iir_Predefined_Ieee_Numeric_Std_Sub_Uns_Log | Iir_Predefined_Ieee_Numeric_Std_Sub_Sgn_Log | Iir_Predefined_Ieee_Numeric_Std_Sub_Log_Sgn | Iir_Predefined_Ieee_Std_Logic_Unsigned_Sub_Slv_Slv -- cgit v1.2.3