From e89e64a25bc2bceb40c3beca78a842df9c257dfa Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Wed, 6 Nov 2019 19:09:28 +0100 Subject: synth: handle edge operators in synth_predefined_function_call. --- src/vhdl/vhdl-ieee-std_logic_1164.adb | 7 ++++--- 1 file changed, 4 insertions(+), 3 deletions(-) (limited to 'src/vhdl/vhdl-ieee-std_logic_1164.adb') diff --git a/src/vhdl/vhdl-ieee-std_logic_1164.adb b/src/vhdl/vhdl-ieee-std_logic_1164.adb index d88a45ccb..50beaa554 100644 --- a/src/vhdl/vhdl-ieee-std_logic_1164.adb +++ b/src/vhdl/vhdl-ieee-std_logic_1164.adb @@ -120,6 +120,9 @@ package body Vhdl.Ieee.Std_Logic_1164 is is Error : exception; + Rising_Edge : Iir_Function_Declaration := Null_Iir; + Falling_Edge : Iir_Function_Declaration := Null_Iir; + Decl : Iir; Def : Iir; Predefined : Iir_Predefined_Functions; @@ -234,7 +237,7 @@ package body Vhdl.Ieee.Std_Logic_1164 is case Get_Identifier (Decl) is when Name_Rising_Edge => Rising_Edge := Decl; - Predefined := Iir_Predefined_Ieee_1164_Falling_Edge; + Predefined := Iir_Predefined_Ieee_1164_Rising_Edge; when Name_Falling_Edge => Falling_Edge := Decl; Predefined := Iir_Predefined_Ieee_1164_Falling_Edge; @@ -333,7 +336,5 @@ package body Vhdl.Ieee.Std_Logic_1164 is Std_Logic_Vector_Type := Null_Iir; Std_Ulogic_0 := Null_Iir; Std_Ulogic_1 := Null_Iir; - Rising_Edge := Null_Iir; - Falling_Edge := Null_Iir; end Extract_Declarations; end Vhdl.Ieee.Std_Logic_1164; -- cgit v1.2.3