From 3ba26e2631c3a8d5b668c25f4b9f043f0b0eaa0c Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Thu, 4 Jul 2019 18:22:40 +0200 Subject: vhdl-annotations: partial revert of previous patch for --- src/vhdl/vhdl-annotations.adb | 10 ++++++++++ 1 file changed, 10 insertions(+) (limited to 'src/vhdl/vhdl-annotations.adb') diff --git a/src/vhdl/vhdl-annotations.adb b/src/vhdl/vhdl-annotations.adb index 074ea4f15..1d9792948 100644 --- a/src/vhdl/vhdl-annotations.adb +++ b/src/vhdl/vhdl-annotations.adb @@ -359,6 +359,16 @@ package body Vhdl.Annotations is Annotate_Anonymous_Type_Definition (Block_Info, El); when Iir_Kind_Array_Subtype_Definition => + declare + El_Type : constant Iir := Get_Element_Subtype (Def); + Bel_Type : constant Iir := + Get_Element_Subtype (Get_Base_Type (Def)); + begin + if El_Type /= Bel_Type then + Annotate_Anonymous_Type_Definition + (Block_Info, Get_Element_Subtype (Def)); + end if; + end; if Flag_Synthesis then -- For the bounds. Create_Object_Info (Block_Info, Def); -- cgit v1.2.3