From 926dff10e4ed121e08c18c2f77030e5962308966 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Mon, 3 Oct 2022 16:36:48 +0200 Subject: synth: avoid crash on invalid hdl in psl. Fix #2204 --- src/synth/synth-vhdl_decls.adb | 3 +++ 1 file changed, 3 insertions(+) (limited to 'src/synth/synth-vhdl_decls.adb') diff --git a/src/synth/synth-vhdl_decls.adb b/src/synth/synth-vhdl_decls.adb index 0be74aeb6..d8c074cd4 100644 --- a/src/synth/synth-vhdl_decls.adb +++ b/src/synth/synth-vhdl_decls.adb @@ -931,6 +931,9 @@ package body Synth.Vhdl_Decls is Synth_Concurrent_Attribute_Specification (Syn_Inst, Decl); when Iir_Kind_Package_Instantiation_Declaration => Synth_Package_Instantiation (Syn_Inst, Decl); + when Iir_Kind_Attribute_Implicit_Declaration => + -- Error will be printed when the attribute is used. + null; when others => Vhdl.Errors.Error_Kind ("synth_concurrent_declaration", Decl); end case; -- cgit v1.2.3