From bcc88a45ddffd2cc54b9fcbfe7313f624478f873 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Mon, 13 Jan 2020 06:20:00 +0100 Subject: synth: handle static "-" for unsigned. For of #1087 --- src/synth/synth-ieee-numeric_std.ads | 2 ++ 1 file changed, 2 insertions(+) (limited to 'src/synth/synth-ieee-numeric_std.ads') diff --git a/src/synth/synth-ieee-numeric_std.ads b/src/synth/synth-ieee-numeric_std.ads index 1d8dacd7b..1490bf9d1 100644 --- a/src/synth/synth-ieee-numeric_std.ads +++ b/src/synth/synth-ieee-numeric_std.ads @@ -34,6 +34,8 @@ package Synth.Ieee.Numeric_Std is function Add_Uns_Nat (L : Std_Logic_Vector; R : Uns64) return Std_Logic_Vector; + function Sub_Uns_Uns (L, R : Std_Logic_Vector) return Std_Logic_Vector; + function Mul_Uns_Uns (L, R : Std_Logic_Vector) return Std_Logic_Vector; function Mul_Sgn_Sgn (L, R : Std_Logic_Vector) return Std_Logic_Vector; -- cgit v1.2.3