From a85cce9b6f89324dead5da3550af2a71da94d85f Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Wed, 13 May 2015 07:54:42 +0200 Subject: Add ieee context files. --- libraries/ieee2008/ieee_bit_context.vhdl | 4 ++++ libraries/ieee2008/ieee_std_context.vhdl | 5 +++++ 2 files changed, 9 insertions(+) create mode 100644 libraries/ieee2008/ieee_bit_context.vhdl create mode 100644 libraries/ieee2008/ieee_std_context.vhdl (limited to 'libraries') diff --git a/libraries/ieee2008/ieee_bit_context.vhdl b/libraries/ieee2008/ieee_bit_context.vhdl new file mode 100644 index 000000000..944ab3108 --- /dev/null +++ b/libraries/ieee2008/ieee_bit_context.vhdl @@ -0,0 +1,4 @@ +context IEEE_BIT_CONTEXT is + library IEEE; + use IEEE.NUMERIC_BIT.all; +end context IEEE_BIT_CONTEXT; diff --git a/libraries/ieee2008/ieee_std_context.vhdl b/libraries/ieee2008/ieee_std_context.vhdl new file mode 100644 index 000000000..b763dd6dd --- /dev/null +++ b/libraries/ieee2008/ieee_std_context.vhdl @@ -0,0 +1,5 @@ +context IEEE_STD_CONTEXT is + library IEEE; + use IEEE.STD_LOGIC_1164.all; + use IEEE.NUMERIC_STD.all; +end context IEEE_STD_CONTEXT; -- cgit v1.2.3