From 4d68bc3139f3fe6d6642b1d2df4bf9bf63711477 Mon Sep 17 00:00:00 2001 From: Patrick Lehmann Date: Thu, 27 Oct 2016 23:09:05 +0200 Subject: VendorLib: Added switch to compile XilinxCoreLib for ISE. Adjusted behavior between PowerShell and Bash scripts. Updated embedded help pages. --- libraries/vendors/compile-altera.sh | 40 +++++++++++++++++++------------------ 1 file changed, 21 insertions(+), 19 deletions(-) (limited to 'libraries/vendors/compile-altera.sh') diff --git a/libraries/vendors/compile-altera.sh b/libraries/vendors/compile-altera.sh index d6830abc6..c3daf4d94 100755 --- a/libraries/vendors/compile-altera.sh +++ b/libraries/vendors/compile-altera.sh @@ -16,7 +16,7 @@ # - compiles all Altera Quartus-II simulation libraries and packages # # ============================================================================== -# Copyright (C) 2015-2016 Patrick Lehmann +# Copyright (C) 2015-2016 Patrick Lehmann - Dresden, Germany # # GHDL is free software; you can redistribute it and/or modify it under # the terms of the GNU General Public License as published by the Free @@ -146,36 +146,38 @@ if [ "$HELP" == "TRUE" ]; then echo " One library folder 'lib/v??' per VHDL library will be created relative to the current" echo " working directory." echo "" + echo " Use the adv. options or edit 'config.sh' to supply paths and default params." + echo "" echo "Usage:" echo " compile-altera.sh | [] []" echo "" echo "Common commands:" - echo " -h --help Print this help page" - echo " -c --clean Remove all generated files" + echo " -h --help Print this help page" + echo " -c --clean Remove all generated files" echo "" echo "Libraries:" - echo " -a --all Compile all Altera simulation libraries." - echo " --altera Compile the Altera standard libraries: lpm, sgate, altera, altera_mf, altera_lnsim." - echo " --max Compile the Altera Max device libraries." - echo " --cyclone Compile the Altera Cyclone device libraries." - echo " --arria Compile the Altera Arria device libraries." - echo " --stratix Compile the Altera Stratix device libraries." - echo " --nanometer Unknown device library." + echo " -a --all Compile all Altera simulation libraries." + echo " --altera Compile the Altera standard libraries: lpm, sgate, altera, altera_mf, altera_lnsim." + echo " --max Compile the Altera Max device libraries." + echo " --cyclone Compile the Altera Cyclone device libraries." + echo " --arria Compile the Altera Arria device libraries." + echo " --stratix Compile the Altera Stratix device libraries." + echo " --nanometer Unknown device library." echo "" echo "Library compile options:" - echo " --vhdl93 Compile the libraries with VHDL-93." - echo " --vhdl2008 Compile the libraries with VHDL-2008." - echo " -s --skip-existing Skip already compiled files (an *.o file exists)." - echo " -S --skip-largefiles Don't compile large files. Exclude *HSSI* and *HIP* files." - echo " -H --halt-on-error Halt on error(s)." + echo " --vhdl93 Compile the libraries with VHDL-93." + echo " --vhdl2008 Compile the libraries with VHDL-2008." + echo " -s --skip-existing Skip already compiled files (an *.o file exists)." + echo " -S --skip-largefiles Don't compile large files. Exclude *HSSI* and *HIP* files." + echo " -H --halt-on-error Halt on error(s)." echo "" echo "Advanced options:" - echo " --ghdl Path to GHDL's binary e.g. /usr/local/bin/ghdl." - echo " --out Name of the output directory." - echo " --src Path to the source directory." + echo " --ghdl Path to GHDL's binary directory, e.g. /usr/local/bin" + echo " --out Name of the output directory, e.g. xilinx-vivado" + echo " --src Path to the sources, e.g. /opt/altera/16.0/quartus/eda/sim_lib" echo "" echo "Verbosity:" - echo " -n --no-warnings Suppress all warnings. Show only error messages." + echo " -n --no-warnings Suppress all warnings. Show only error messages." echo "" exit 0 fi -- cgit v1.2.3