From d0be4f8e5157e751f4e450402ac47b5c69ea35be Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Wed, 3 Dec 2014 03:08:23 +0100 Subject: fix VHDL 08 preprocessor block comments in libraries to start in column 1 --- libraries/std/textio.vhdl | 14 +++++++------- libraries/std/textio_body.vhdl | 14 +++++++------- 2 files changed, 14 insertions(+), 14 deletions(-) (limited to 'libraries/std') diff --git a/libraries/std/textio.vhdl b/libraries/std/textio.vhdl index 25d90ec04..fe69d2d88 100644 --- a/libraries/std/textio.vhdl +++ b/libraries/std/textio.vhdl @@ -35,11 +35,11 @@ package Textio is -- standard text files - --START-V08 +--START-V08 function Justify (Value: String; Justified : Side := Right; Field: Width := 0 ) return String; - --END-V08 +--END-V08 file input: text is in "STD_INPUT"; --V87 file output: text is out "STD_OUTPUT"; --V87 @@ -95,7 +95,7 @@ package Textio is procedure read (l: inout line; value: out time; good: out boolean); procedure read (l: inout line; value: out time); - --START-V08 +--START-V08 procedure Sread (L : inout Line; Value : out String; Strlen : out Natural); alias STRING_READ is SREAD [LINE, STRING, NATURAL]; @@ -115,16 +115,16 @@ package Textio is alias HEX_READ is HREAD [LINE, BIT_VECTOR, BOOLEAN]; alias HEX_READ is HREAD [LINE, BIT_VECTOR]; - --END-V08 +--END-V08 -- output routines for standard types procedure writeline (variable f: out text; l: inout line); --V87 procedure writeline (file f: text; l: inout line); --V93 - --START-V08 +--START-V08 procedure Tee (file f : Text; L : inout LINE); - --END-V08 +--END-V08 -- This implementation accept any value for all the types. procedure write @@ -159,7 +159,7 @@ package Textio is (l: inout line; value : in time; justified: in side := right; field: in width := 0; unit : in TIME := ns); - --START-V08 +--START-V08 alias Swrite is write [Line, String, Side, Width]; alias String_Write is Write [Line, String, Side, Width]; diff --git a/libraries/std/textio_body.vhdl b/libraries/std/textio_body.vhdl index 5d148cef4..bb4ea8c8a 100644 --- a/libraries/std/textio_body.vhdl +++ b/libraries/std/textio_body.vhdl @@ -17,7 +17,7 @@ -- 02111-1307, USA. package body textio is - --START-V08 +--START-V08 -- LRM08 16.4 -- The JUSTIFY operation formats a string value within a field that is at -- least at long as required to contain the value. Parameter FIELD @@ -49,7 +49,7 @@ package body textio is end case; end if; end Justify; - --END-V08 +--END-V08 -- output routines for standard types @@ -102,7 +102,7 @@ package body textio is end if; end writeline; - --START-V08 +--START-V08 procedure Tee (file f : Text; L : inout LINE) is begin if l = null then @@ -122,7 +122,7 @@ package body textio is l := new string'(""); end if; end Tee; - --END-V08 +--END-V08 procedure write (l: inout line; value: in string; @@ -482,7 +482,7 @@ package body textio is write (l, str (1 to pos - 1), justified, field); end write; - --START-V08 +--START-V08 procedure Owrite (L : inout line; value : in Bit_Vector; Justified : in Side := Right; Field : in Width := 0) is begin @@ -1410,7 +1410,7 @@ package body textio is severity failure; end read; - --START-V08 +--START-V08 procedure Sread (L : inout Line; Value : out String; Strlen : out Natural) is constant maxlen : natural := Value'Length; @@ -1682,5 +1682,5 @@ package body textio is report "hexa bit_vector read failure" severity failure; end Hread; - --END-V08 +--END-V08 end textio; -- cgit v1.2.3