From 891ddbc416cb7a8303bfac692441b65d272d82f5 Mon Sep 17 00:00:00 2001 From: gingold Date: Thu, 13 Aug 2009 04:09:58 +0000 Subject: Now handle vhdl 2008 arrays in the front end. Bug fixes. --- ieee-std_logic_1164.adb | 9 +++++---- 1 file changed, 5 insertions(+), 4 deletions(-) (limited to 'ieee-std_logic_1164.adb') diff --git a/ieee-std_logic_1164.adb b/ieee-std_logic_1164.adb index 561ed6535..8ecd1acee 100644 --- a/ieee-std_logic_1164.adb +++ b/ieee-std_logic_1164.adb @@ -113,15 +113,16 @@ package body Ieee.Std_Logic_1164 is Decl := Get_Chain (Decl); Decl := Skip_Implicit (Decl); if Decl = Null_Iir - or else Get_Kind (Decl) /= Iir_Kind_Type_Declaration + or else (Get_Kind (Decl) /= Iir_Kind_Type_Declaration + and then Get_Kind (Decl) /= Iir_Kind_Subtype_Declaration) or else Get_Identifier (Decl) /= Name_Std_Logic_Vector then raise Error; end if; Def := Get_Type (Decl); - if Get_Kind (Def) /= Iir_Kind_Array_Type_Definition then - raise Error; - end if; +-- if Get_Kind (Def) /= Iir_Kind_Array_Type_Definition then +-- raise Error; +-- end if; Std_Logic_Vector_Type := Def; -- Skip any declarations but functions. -- cgit v1.2.3