From f4a054e86738cd261d05858334f731535f9cc702 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Thu, 10 Nov 2016 18:59:50 +0100 Subject: Add testcase for previous patch. --- testsuite/gna/bug063/dff.expected | 4 ++++ testsuite/gna/bug063/dff.vhdl | 17 +++++++++++++++++ testsuite/gna/bug063/testsuite.sh | 11 +++++++++++ 3 files changed, 32 insertions(+) create mode 100644 testsuite/gna/bug063/dff.expected create mode 100644 testsuite/gna/bug063/dff.vhdl create mode 100755 testsuite/gna/bug063/testsuite.sh diff --git a/testsuite/gna/bug063/dff.expected b/testsuite/gna/bug063/dff.expected new file mode 100644 index 000000000..3e8fb698b --- /dev/null +++ b/testsuite/gna/bug063/dff.expected @@ -0,0 +1,4 @@ +dff.vhdl:10:25: invalid use of UTF8 character for ' +dff.vhdl:11:23: invalid use of UTF8 character for ' +dff.vhdl:12:23: invalid use of UTF8 character for ' +dff.vhdl:12:42: invalid use of UTF8 character for ' diff --git a/testsuite/gna/bug063/dff.vhdl b/testsuite/gna/bug063/dff.vhdl new file mode 100644 index 000000000..c1c7809a9 --- /dev/null +++ b/testsuite/gna/bug063/dff.vhdl @@ -0,0 +1,17 @@ +entity DFF is + port (CLK, CLEAR, D : in bit; + Q : out bit); +end; + +architecture BEHAV of DFF is +begin +process (CLK, CLEAR) + begin + if (CLEAR = ‘1’) then + Q <= ‘0’; + elsif (CLK’event and CLK = ‘1’) then + Q <= D; + end if; + end process; +end BEHAV; + diff --git a/testsuite/gna/bug063/testsuite.sh b/testsuite/gna/bug063/testsuite.sh new file mode 100755 index 000000000..5bb108e0f --- /dev/null +++ b/testsuite/gna/bug063/testsuite.sh @@ -0,0 +1,11 @@ +#! /bin/sh + +. ../../testenv.sh + +analyze_failure dff.vhdl 2> dff.out +diff dff.out dff.expected + +rm -f dff.out +clean + +echo "Test successful" -- cgit v1.2.3